Devo f7 for DelFly

More
11 Mar 2014 05:11 #21445 by PhracturedBlue
Replied by PhracturedBlue on topic Devo f7 for DelFly
I'm not sure of any progress, but you can back up your models with the DfuSe tool before upgrading the memory. The updated memory should work fine with the original firmware as well until Deviation is ready.

Please Log in or Create an account to join the conversation.

More
12 Mar 2014 03:18 #21492 by djtrance
Replied by djtrance on topic Devo f7 for DelFly
thanks PB for your answer.

Please Log in or Create an account to join the conversation.

More
26 Mar 2014 21:29 #21876 by djtrance
Replied by djtrance on topic Devo f7 for DelFly
PB,
the original firmware can't write on the 32mb memory, I don't know why. and when i put the priginal memory back to devo, the de vo can write, but when is trying to read, the mempry returning 0x00.
Do you think that the memory are die? (maybe when i put the memory again)

Please Log in or Create an account to join the conversation.

More
08 May 2014 04:15 #22987 by Nickey
Replied by Nickey on topic Devo f7 for DelFly
Hello,

Do you have any progress?

Please Log in or Create an account to join the conversation.

More
08 May 2014 16:30 #23020 by djtrance
Replied by djtrance on topic Devo f7 for DelFly
deviation is working in this tx, but there are a lot of things that must be fix it before to lunch the oficial release. for know I can only test it on the emulator, because when I change the memory (you must solder a new memory because the original has not space for deviation) my devo can't write on it and when I put the original memory again, for some reason can write on the memory but cannot read it. I will try to re solder the memory again, but I will try tu put some socket, for change the memory without solder the pcb tomuch times.

but if you want, and can, you can download the emultor and the code, and can help us to resolve the problems more faster, because now, the only person that are wornkin on this port is Microuav with some of help from PB

Please Log in or Create an account to join the conversation.

Time to create page: 0.035 seconds
Powered by Kunena Forum